Aldek - Aldec - Wikipedia

ALDEC, Inc.
TuriXususiy
SanoatEDA
Tashkil etilgan1984
Bosh ofisXenderson, Nevada,
Qo'shma Shtatlar
MahsulotlarActive-HDL, ALINT-PRO, Riviera-PRO, Spec-TRACER, RTAX / RTSX prototipi, HES-DVM, HES-7, TySOM
Veb-saytaldec.com

Aldec, Inc. xususiy mulkdir elektron dizaynni avtomatlashtirish asoslangan kompaniya Xenderson, Nevada raqamli dizaynlarning maqsadli yo'nalishini yaratish va tekshirishda foydalaniladigan dasturiy ta'minot va uskunalarni taqdim etadi FPGA va ASIC texnologiyalar.

A'zosi sifatida Accellera va IEEE standartlari assotsiatsiyasi Aldec yangi standartlarni ishlab chiqish va mavjud standartlarni yangilash jarayonida faol ishtirok etadi (masalan.) VHDL, SystemVerilog ). Aldec kabi boshqa EDA vositalari uchun HDL simulyatsiya dvigatelini taqdim etadi Oltium Dizayner va uning vositalarining maxsus versiyasini FPGA kabi sotuvchilar dasturiy ta'minoti Panjara.[1]

Tarix

  • Aldec 1984 yilda doktor Stenli M. Xiduk tomonidan tashkil etilgan.
  • 1985 yilda kompaniya o'zining birinchi mahsulotini chiqardi: MS-DOS-ga asoslangan eshik darajasidagi simulyator SUSIE. Keyingi bir necha yil ichida mahsulotning bir nechta versiyalari mashhur sxematik kirish vositalari uchun sherik simulyatorlari sifatida ishlatilgan. OrCAD.
  • Microsoft Windows-ning tobora ommalashib borayotganligini sezgan ALDEC o'zining simulyatorini ushbu platformaga ko'chirdi va sxematik kirish va dizaynni boshqarish vositasini qo'shdi. Yangi dasturiy ta'minot to'plami 1992 yilda chiqarilgan Active-SAPR (to'plamning ba'zi past darajadagi versiyalari bir muncha vaqtgacha sotilgan Syuzi-SAPR tovar belgisi). Active-CAD-ning ajralib turadigan xususiyatlaridan biri bu o'zgartirilgan elektronning xatti-harakatlarini tezkor tekshirishga imkon beradigan sxematik o'zgarishlarni simulyatorga bir zumda o'tkazish qobiliyati edi.
  • 1996 yilda Aldec bilan shartnoma imzoladi Xilinx bu faqat Xilinx-ning Active-CAD versiyasini ostida tarqatishga imkon berdi Jamg'arma ism.
  • Esa VHDL va Verilog sxematik makroslar shaklida Active-CAD tomonidan qo'llab-quvvatlandi Faol-VHDL 1997 yilda netlist asosidagi dizayndan HDL asosidagi dizaynga o'tishni belgilab qo'ydi. Verilog yordamini qo'shgandan so'ng Active-VHDL nomi o'zgartirildi Active-HDL va hali ham mavjud (2020 yilga kelib).
  • 2000 yilda ALDEC nafaqat ishlaydigan yuqori sifatli HDL simulyatorini chiqardi Windows, lekin ayni paytda Solaris va Linux platformalar.[2]
  • 2001 yilda ALDEC o'z mahsulot qatoriga qo'shimcha qo'shdi: HES (Uskunaga o'rnatilgan simulyatsiya) platformasi bu HDL simulyatsiyasini apparatni tezlashtirish va qo'shimcha qurilmaning prototipini yaratishga imkon beradi.
  • 2003 yil Riviera-PRO-ning qo'llab-quvvatlovchisi chiqadi tasdiqlash asosida tekshirish (OpenVera, PSL va SystemVerilog xususiyatlari, tasdiqlari va qamrovini yozish uchun ishlatilishi mumkin.)
  • Qo'llab-quvvatlash SystemC va tasdiqlanmagan qismi SystemVerilog 2004 yilda qo'shilgan. interfeyslari MATLAB va Simulink Aldec vositalarida birinchi marta 2005 yilda paydo bo'ldi.
  • 2006 yilda Riviera-PRO birinchi simulyatorni qo'llab-quvvatladi IP-ni shifrlash tashabbusi tomonidan Ikkilik.[3]
  • ALDEC Verilog foydalanuvchilarining so'rovlari bilan rag'batlantirildi, 2007 yilda rivojlangan, foydalanuvchi tomonidan sozlanishi paxta tomonidan yaratilgan qoidalarni amalga oshirish vositasi STARC - yirik silikon sotuvchilarning Yaponiya konsortsiumi.
  • 2008 yilda ALINT: Design Rule Checker (STARC - 11 ta ASIC kompaniyasining Yaponiya konsortsiumi) chiqarildi.
  • 2010 yil, VHDL IEEE 1076-2008-ni qo'llab-quvvatlaydi.
  • 2010 yilda Aldec-ning Active-HDL kompaniyasi Xitoyning eng yaxshi FPGA dizayni va simulyatsiya vositasini qo'lga kiritdi
  • 2011 yilda Aldec UVM 1.0, OVM 2.1.2 & VMM 1.1.1a-ni qo'llab-quvvatlaydi, 4 MGts dizayn emulyatorini chiqaradi va Xitoyning eng yaxshi FPGA dizayni va tekshiruvi platformasi provayderini yutadi.
  • 2012 yilda Aldec HES-7 bilan SoC / ASIC prototip bozoriga kiradi va birgalikda OSVVM, VHDL tekshiruvini boshlaydi.
  • 2013 yilda Aldec Spec-TRACER Requirements Lifecycle Management dasturini chiqardi
  • 2015 yilda Aldec CDIN tekshiruvi bilan ALINT-PRO-ni chiqaradi.
  • 2016-yilda Aldec kompaniyasi SoC FPGA-laridan foydalangan holda ichki rivojlanish uchun TySOM Product Line-ni chiqaradi

Mahsulotlar

Dasturiy ta'minot

  • Active-HDL - umumiy yadro HDL simulyatori atrofida qurilgan FPGA ishlab chiqish muhiti. Matnga asoslangan va grafik dizaynga kirish va disk raskadrovka vositalarini qo'llab-quvvatlaydi, aralash tillarni simulyatsiya qilishga imkon beradi (VHDL / Verilog / EDIF / SystemC / SystemVerilog) va turli xil sintez va amalga oshirish vositalariga birlashtirilgan interfeysni taqdim etadi. Shuningdek, Open Vera, PSL yoki Systemverilog Assertion bayonotlari bilan tasdiqlash asosida tasdiqlashni qo'llab-quvvatlaydi. Faqat bitta FPGA sotuvchisini qo'llab-quvvatlaydigan dasturiy ta'minotning maxsus versiyalari mavjud, masalan. Active-HDL Lattice Edition. Faqat MS Windows platformasida mavjud.
  • Riviera-PRO - ASIC va katta FPGA dizaynlariga yo'naltirilgan yuqori sifatli HDL simulyatori. Riviera-PRO Active-HDL-ning simulyatsiya xususiyatlarini linting, funktsional qamrov, OVM va UVM, apparatni tezlashtirish va prototip yaratish. Riviera-PRO - bu Riviera-Classic nomi bilan tanilgan yangi avlod vositasi va MS Windows va Linux-da 32 va 64-bitlarda mavjud.
  • HES-DVM - HDL simulyatsiyasini tezlashtirishga imkon beradigan echim (tekshirish vaqtini 10 baravaridan 50 baravargacha qisqartirish), butun dizayn va dasturiy ta'minotni taqlid qilish (dasturiy ta'minotni birgalikda taqlid qilish) O'rnatilgan tizim rivojlanish).
  • ALINT-PRO - dizayn qoidalarini tekshiruvchi / linting va CDC tahlillari uchun yagona ramka. ALINT-PRO individual Verilog, VHDL va SystemVerilog dizayn manbalarini keng matnli tahlil qilish va butun dizayn iyerarxiyasini yaxshilab tekshirishga qodir. Oldindan belgilangan yuqori darajadagi bir nechta qoidalar to'plami mavjud va yangi, maxsus qoidalar taqdim etilgan holda yaratilishi mumkin API. O'rnatilgan bosqichga asoslangan linting metodologiyasi qoidalarni tezroq va samarali tekshirishga imkon beradi. ALINT-PRO yordamida FPGA-ni amalga oshirishni maqsad qilgan dizaynlar uchun qoida tekshiruvlarini doimiy ravishda qo'llab-quvvatlaydi Xilinx, Intel, Mikrosemi va Panjara minimal o'rnatish bilan texnologiyalar
  • Spec-TRACER - FPGA va ASIC dizaynlari uchun maxsus ishlab chiqilgan hayotiy tsiklni boshqarish bo'yicha yagona talablar. Talablarni egallash, boshqarish, tahlil qilish, kuzatilishi va hisobot berishni osonlashtiradi; Windows-ga asoslangan HDL dizayni va simulyatsiya vositalari bilan birlashadi.
  • IP-mahsulotlar - Aldec va uning sheriklari tomonidan yaratilgan, Active-HDL va Riviera-PRO muhitlarida tasdiqlangan umumiy intellektual mulk bloklari to'plami.

Uskuna

  • GES-7 - yuqori quvvat, yuqori zichlik, FPGA asoslangan ASIC prototipli eritma. Yordamida Xilinx Virtex-7 FPGA HES-7 prototiplarni tayyorlashga asoslangan 24 million ASIC-eshiklarning dizaynini sinab ko'rishga imkon beradi.
  • Microsemi RTAX / RTSX prototipi - tepada fleshli, qayta dasturlashtiriladigan chiplar bo'lgan oyoq izlariga mos prototip taxtalari yordamida radiatsiyaviy qattiqlashtirilgan FPGA bilan dizaynlashtirilgan prototiplarni yaratishning samarali usuli. Ushbu yechim netlist tarjimasi uchun ixtiyoriy dasturiy ta'minotni o'z ichiga oladi.
  • DO-254 muvofiqligini sinov tizimi (CTS) - Bu sizning tizimingizda FPGA-ni DO-254 / ED80-ga mos kelishini ta'minlaydigan to'liq tekshirish echimi. CTS foydalanuvchiga an'anaviy Uskuna sinovlari o'rniga Apparat ichida simulyatsiya qilishning ilg'or usulini amalga oshirish imkoniyatini beradi. Uskuna ichidagi simulyatsiya uchun sinov vektorlari sifatida siz xuddi shu testbenchni RTL simulyatsiyasidan olingan 100% Kodni qoplash natijalari bilan qayta ishlatishingiz mumkin. Xuddi shu testbenchni qayta ishlatib, Uskuna tekshiruvi osongina talablarning kuzatilishi mumkin. Qurilma ichida simulyatsiyani maqsadli qurilmada tezlikda bajarishingiz mumkin. CTS shuningdek, qo'shimcha formatdagi simulyatsiya va HDL simulyatsiyasi natijalarini to'lqin shakli formati orqali oson taqqoslash va disk raskadrovka qilishga imkon beradi.
  • TySOM - O'rnatilgan ishlab chiqarish taxtalari va FMC qiz kartalari asosida Xilinx IOT, ADAS va Industrial Machine Vision-ga mo'ljallangan Zynq-7000 seriyali.

Ta'lim

Aldec o'zining dasturiy ta'minotining butun dunyo bo'ylab ta'lim muassasalari uchun to'liq ishlaydigan, juda arzonlashtirilgan versiyalarini taqdim etadi (Kumaon muhandislik kolleji, Milliy texnologiya universiteti ).

Aldec shuningdek, Aldec veb-saytidan yuklab olinadigan Active-HDL-ning maxsus Student-Edition versiyasini taqdim etadi. Student-Edition dizayni cheklangan va dasturiy ta'minotni biroz qisqartirgan, ammo ikkala dizayn tilini ham qo'llab-quvvatlaydi (Verilog resp. VHDL).

Kompaniya mahalliy ta'limni ham qo'llab-quvvatlaydi - 1999 yilda "Aldec Digital Design Laboratory" ni tashkil etishga hissa qo'shdi UNLV.[4]

Aldec dasturi bir nechta elektron dizaynga oid kitoblar bilan ta'minlangan (masalan.) "Raqamli dizayn: printsiplar va amaliyotlar", "ZAMONAVIY Mantiqiy dizayn" ).

Student-Edition of Active-HDL sotilgan birinchi HDL simulyatori bo'ldi Walmart.[5]

Shuningdek qarang

Adabiyotlar

  1. ^ EN-daho dasturlashtiriladigan mantiqiy ZONE, "FPGA dizayni va dizaynini tasdiqlash uchun panjara va Aldek shaklidagi alyans"
  2. ^ Richard Gering, "Aldec Linux-ga asoslangan aralash tillar uchun simulyatorni ishlab chiqaradi", EETimes.com, 2000 yil 13-noyabr
  3. ^ Kristin Evans-Pughe, "IP-ni himoya qilish shunchaki soddalashdi" Arxivlandi 2006-10-18 da Orqaga qaytish mashinasi, 11-xatboshi, elektronika haftaligi, 2006 yil 13 oktyabr
  4. ^ ECE-UNLV xodimlari, "ALDEC, (...) ECE dasturlarida muhim rol o'ynaydi" Arxivlandi 2006-07-20 da Orqaga qaytish mashinasi, 3-bet, ECE-UNLV yangiliklari, 5-jild, 2005 y
  5. ^ EDN Onlayn xodimlari, "Walmart-da sotilgan EDA dasturi." Arxivlandi 2007-09-27 da Orqaga qaytish mashinasi, EDN, 2006 yil 20-fevral

Tashqi havolalar