Bir nechta naqsh - Multiple patterning - Wikipedia

Bir nechta naqsh (yoki ko'p naqshli) uchun ishlab chiqilgan integral mikrosxemalarni (IC) ishlab chiqarish texnologiyalari sinfidir fotolitografiya xususiyat zichligini oshirish uchun. 10 nm va 7 nm tugunli yarimo'tkazgich jarayonlari va undan tashqarida kerak bo'lishi kutilmoqda. Shart shundaki, bitta litografik ekspozitsiya etarli rezolyutsiyani ta'minlash uchun etarli bo'lmasligi mumkin. Shunday qilib, qo'shimcha ta'sir qilish kerak bo'ladi, yoki aksincha, yonma devorlarni (bo'shliqlardan foydalangan holda) joylashtirilgan naqshlarni yaratish kerak bo'ladi.

Ko'p naqshlarni yaratish uchun turli xil texnikalar. Top: Xususiyatlarni guruhlarga ajratish (3 ta bu erda ko'rsatilgan), ularning har biri boshqa niqob bilan naqshlangan. Markaz: Bo'shliqlarda qo'shimcha alohida xususiyatlarni yaratish uchun oraliqdan foydalanish. Pastki: Oldindan mavjud bo'lgan xususiyatlarni kesish (kichik tanaffus) uchun qarama-qarshi qutblanish xususiyatidan foydalanish.

Bir marotaba ta'sir qilishning etarli o'lchamlari bo'lgan taqdirda ham, yuqori sifatli namunalar uchun qo'shimcha maskalar qo'llanildi Intel uning 45nm tugunida chiziqlarni kesish uchun[1] yoki TSMC uning 28nm tugunida.[2] Hatto uchun elektron nurli litografiya, ~ 10 nm yarim pog'onada bir marotaba ta'sir etish etarli emas, shuning uchun ikki marta naqsh kerak.[3][4]

Ikki marta naqshli litografiya birinchi bo'lib 1983 yilda D. Flandriya va N.N. Efremov.[5] O'shandan beri bir nechta ikki nusxadagi naqshlar ishlab chiqildi, masalan, o'z-o'zidan tekislash ikki tomonlama naqsh (SADP) va faqat ikki marta naqshlashga lito yondoshish. [6][7]

Ikki naqshli pitch kashshof bo'lgan Gurtej Singx Sandxu ning Mikron texnologiyasi rivojlanishiga olib kelgan 2000-yillar davomida 30-nm sinf NAND chirog'i xotira. Ko'p naqshli shundan beri NAND flesh va tomonidan keng qo'llanilgan RAM xotirasi butun dunyo bo'ylab ishlab chiqaruvchilar.[8][9]

Ko'p namunalarni talab qiladigan holatlar

Bir nechta naqshlarni talab qilishga olib keladigan bir qator holatlar mavjud.

Pastki piksellar sonini

Stoxastik nuqsonlar EUV piksellar sonini cheklaydi. Stoxastik nuqsonlar qattiqroq maydonchalar uchun jiddiyroq; 36 nm balandlikda nuqson darajasi ~ 1e-9 dan pastga tushmaydi. Aloqa naqshlari katta o'lchamlarda jiddiy nuqsonga ega.

Ko'plab naqshlarni talab qiladigan eng aniq holat - bu xususiyat balandligi optik proektsiya tizimining o'lchamlari chegarasidan pastroq. Bilan tizim uchun raqamli diafragma NA va to'lqin uzunligi λ, 0,5 λ / NA dan past bo'lgan balandlik bitta gofret ta'sirida hal etilmaydi. Ruxsat berish chegarasi, masalan, stoxastik effektlardan kelib chiqishi mumkin EUV Shunday qilib, 20 nm chiziq kengligi hali ham kattaroq maydonlarda katta nuqson tufayli EUV-ning ikki marta naqshini talab qiladi.[10]

Ikki o'lchovli naqshni yaxlitlash

Ikki o'lchovli naqshni yaxlitlash. Bir nechta xalaqit beruvchi nurlardan hosil bo'lgan ikki o'lchovli zich naqshlar har doim qattiq yumaloqlanadi.

Quadrupole yoki QUASAR yoritilishida bo'lgani kabi, bir yo'nalish bo'ylab ikki yoki uchta nurlarning aralashuvidan hosil bo'lgan zich ikki o'lchovli naqshlar, ayniqsa burmalar va burchaklarda sezilarli darajada yaxlitlashi kerakligi aniqlangan.[11][12][13] Burchakning yaxlitlash radiusi minimal balandlikdan kattaroq (~ 0,7 λ / NA).[14] Bu shuningdek ~ 0,4 λ / NA yoki undan kichikroq hajmdagi issiq joylarga yordam beradi.[15] Shu sababli, avval chiziq naqshlarini aniqlab, so'ngra shunday chiziqlardan segmentlarni kesib olish foydalidir.[16] Bu, albatta, qo'shimcha ta'sir qilishni talab qiladi. Kesilgan shakllarning o'zi ham yumaloq bo'lishi mumkin, bu esa qattiq joylashtirish aniqligini talab qiladi.[16][17][18]

Chiziq uchi va chiziq kengligi o'zgarishi

Chiziq uchlarini yaxlitlash tabiiy ravishda chiziq kengligini (ya'ni chiziq uchining kengligini) qisqartirish bilan qarama-qarshi qarama-qarshi uchlar orasidagi bo'shliqni qisqartirish o'rtasidagi kelishuvga olib keladi. Chiziq kengligi qisqarganda uchi radiusi kichrayadi. Qachonki chiziq uchi allaqachon kamroq bo'lsa nuqta tarqalishi funktsiyasi (k1~ 0.6-0.7), chiziq uchi tabiiy ravishda orqaga tortadi,[19] qarama-qarshi qaragan uchlari orasidagi bo'shliqni oshirish. Nuqtani yoyish funktsiyasi xuddi shu tarzda chiziq uchlari markazlari orasidagi hal qiluvchi masofani cheklaydi (aylana sifatida modellashtirilgan). Bu o'z navbatida hujayra kengligini qisqartirish va balandligini kamaytirish o'rtasidagi kelishuvga olib keladi. Kesish / qirqish niqobini qo'shish orqali savdo-sotiqdan qochish mumkin (quyida muhokama qiling).[20] Shunday qilib, EUV-ga mo'ljallangan 7nm tugun uchun 18 nm metall chiziq kengligi (k1Ph = 13,5 nm uchun = 0,44, NA = 0,33), chiziq uchi oralig'i 25 nm dan kam (k)1= 0.61) EUV-ning yagona namunasi etarli emas; ikkinchi marta ta'sir qilish kerak.

Turli yoritishni talab qiladigan tartibning turli qismlari

Turli xil xususiyatlar turli xil yoritishni talab qiladi. Bir xil tartibdagi turli xil xususiyatlar (turli xil ranglarda ko'rsatilganidek) turli xil yoritishni va shuning uchun har xil ta'sirlarni talab qilishi mumkin. Gorizontal va vertikal chiziqlarga umumiy to'rtburchak yoritgich (ko'k) bilan murojaat qilish mumkin bo'lsa-da, 45 darajali yo'nalishlar zarar ko'rishi mumkin, chunki ular butunlay boshqacha to'rtburchak yoritishni (qizil) talab qiladi. Binobarin, ushbu holatlarning barchasini kiritish alohida ta'sir o'tkazishni talab qiladi.

Naqshlar o'lchamlari chegarasiga yaqin xususiyat o'lchamlarini o'z ichiga oladigan bo'lsa, bunday xususiyatlarning turli xil tartibga solinishi ularni bosib chiqarish uchun maxsus yoritishni talab qilishi odatiy holdir.[21]

Eng asosiy misol - gorizontal zich chiziqlar va vertikal chiziqlar (yarim pog'ona <0,35 λ / NA), bu erda birinchisi Shimoliy-Janubiy dipol yoritilishini talab qiladi, ikkinchisi esa Sharq-G'arbiy dipol yoritilishini talab qiladi. Agar ikkala turdan foydalanilsa (shuningdek, o'zaro faoliyat to'rtburchak C-Quad deb nomlansa), mos bo'lmagan dipol tegishli yo'nalish tasvirini yomonlashtiradi.[22] D / NA gacha bo'lgan kattaroq gorizontal va vertikal chiziqlar to'rtburchak yoki QUASAR yoritilishi bilan joylashtirilgan bo'lishi mumkin, ammo diagonal oraliq xususiyatlari va tirsak xususiyatlari buzilgan.[23][24]

Yilda DRAM, massiv va atroflar har xil ta'sirga ega yoritish shartlar. Masalan, massiv dipolli yoritish bilan ta'sirlanishi mumkin, atrof esa halqali yoritishni ishlatishi mumkin.[25] Ushbu holat har xil pog'onalarga ega bo'lgan har xil naqshlar to'plamiga (yarim pog'onali <0,5 λ / NA), masalan, to'rtburchaklar qatorlarga va pog'onali qatorlarga nisbatan qo'llaniladi.[26][27][28][29] Shaxsiy naqshlarning har qandayi hal etilishi mumkin, ammo ularning barchasi uchun bitta yoritishni bir vaqtning o'zida ishlatish mumkin emas.

Ham izolyatsiya qilingan, ham zich xususiyatlarning kiritilishi taniqli ko'p pog'onali namunadir. Yagona echimlarga yordam beradigan xususiyatlar (SRAF) zich xususiyatlarga moslashtirilgan yoritishni ishlatganda izolyatsiya qilingan xususiyatlarga naqsh solishni ta'minlash uchun ishlab chiqilgan. Biroq, barcha balandliklar oralig'ini qoplash mumkin emas. Xususan, yarim zich xususiyatlarni kiritish oson bo'lmasligi mumkin.[30][31]

Maxsus misol: teshik massivlari

Massivga xos yoritgichlar. Massivning turli xil konfiguratsiyasi uchun har xil va o'zaro eksklyuziv yoritgichlar kerak. Bularning barchasini joylashtirish uchun har xil yoritilishlarga ta'sir qilish kerak.

Teshikli massivlarning o'ziga xos holati uchun (minimal yarim pog'ona <0,6 λ / NA), uchta taniqli holat uchta mutlaqo har xil yoritishni talab qiladi. Oddiy qator odatda kvasar yoritilishini talab qiladi, xuddi shu massiv 45 daraja aylantirilgan bo'lsa, to'rtburchak yoritishni talab qiladigan shaxmat taxtasi qatoriga olib keladi.[29] Ikkala holatdan farqli o'laroq, uchburchak yoki olti burchakli simmetriyaga yaqin massiv geksapol yoritilishini talab qiladi.[32]

Ko'p balandlikdagi naqshlar

OPC ulanish nuqtasi. 1x MMP borligida 2x minimal metall pog'onani (MMP) qo'llab-quvvatlash uchun yordamchi funktsiyalar uchun joy etishmasligi (qizil mintaqa) taqiqlanadi.
Mos kelmaydigan yoritgichlar bilan naqshlar. Ko'p pog'onali naqshning ayrim qismlari uchun moslashtirilgan yoritgichlar boshqa jihatlarni yomonlashtirishi mumkin. Bu erda ko'k joylar minimal chiziq balandligiga foyda keltiradi, qizil joylar chiziq uzilishlariga foyda keltiradi, lekin minimal chiziq balandligiga emas.

Ba'zan xususiyatlar sxemasi o'ziga xos bir nechta balandlikni o'z ichiga oladi va bundan tashqari, bu maydonchalar hech qanday darajada mos kelmaydi yoritish bir vaqtning o'zida ikkala maydonchani ham qoniqarli tasvirga tushirishi mumkin. Keng tarqalgan misol, yana DRAM tomonidan, qatorning faol hududlarini belgilaydigan g'isht namunasi.[33] Faol mintaqalarning tor pog'onasidan tashqari, faol mintaqani ajratish yoki uzilishlar oralig'i ham bor, bu xuddi shu yo'nalishdagi tor pog'onadan ikki baravar ko'pdir. Tor pog'ona <λ / NA (lekin baribir> 0,5 λ / NA) bo'lganda, ikkinchisining fokus cheklovlari tufayli uni ikki pog'ona bilan bir vaqtda tasvirlash mumkin emas. SADP yoki SAQP bilan bir qatorda tanlab ishlov berish (quyida tasvirlangan), har ikkala maydonning bir vaqtning o'zida naqshlanishiga erishish uchun eng yaxshi yondashuvdir.[34]

2 nurli shovqinlardan kichik og'ishlar

Dipolli yoritishni ishlatadigan holat uchun chiziq uchlari orasidagi minimal barqaror bosilgan bo'shliq chiziq kengligidan oshib ketadi. Bo'shliq juda kichik chizilgan bo'lsa, chiziq uchlari ko'prik bo'ladi.

Ikki nurli interferentsiya sxemasi (yarim pitch <0,5 λ / NA) muntazam ravishda ajratilgan chiziqlar to'plamini hosil qiladi. Bunday chiziqlardagi tanaffuslar, masalan, g'isht naqshlari, aralashuv naqshidan chetga chiqish. Bunday tanaffuslar odatda naqshda ustunlik qilmaydi va shuning uchun kichik og'ishlardir. Ushbu og'ishlar asosiy chiziq chizig'ining konstruktiv yoki halokatli aralashuvini to'liq qoplash uchun etarli emas; yonboshchalar ko'pincha paydo bo'ladi.[35][36] Chiziqlar orasidagi bo'shliqlar dipolli yoritish ostida osongina qoplanadi.[37] Yana bir niqob ta'sir qilish (odatda kesilgan niqob deb ataladi) chiziq chizig'ini yanada mustahkam sindirish uchun zarurdir.

Chiziqni kesish

Chiziqlar orasidagi bo'shliqning kengligi va chiziq balandligi. Chiziq balandligi pasayganda, chiziqlar uchlari orasidagi bo'shliq kuchayadi.
G'isht naqshining tasvirini buzish. Kesish chizig'i va kesishgan tasvir o'rtasidagi farq etarlicha jiddiy, kesilgan niqob kerak.
Chiziq va kesish o'rtasida qoplama. Chiziqdagi kesilgan naqsh qoplamasi chiziqning bir qismini kesmasdan qoldirib, chekka joylashish xatosini oldini olish uchun muhimdir. Bundan tashqari, dozani xatosi buni kuchaytirishi mumkin.
Chiziqlar kesimlarini yaxlitlash. Qisqa chiziqli kesish yanada jiddiy yaxlitlashga olib keladi.

Ko'plab naqshlarning dastlabki bosqichi chiziqlarni kesishni o'z ichiga olgan. Bu birinchi bo'lib Intelning 45nm tugunida, 160 nm eshik balandligi uchun sodir bo'ldi.[38] Birinchi niqob bilan aniqlangan chiziqlarni kesish uchun ikkinchi niqobdan foydalanish to'g'ridan-to'g'ri xususiyat zichligini oshirishga yordam bermaydi. Buning o'rniga u funktsiyalarni, masalan, g'isht naqshlarini belgilashga imkon beradi, ular minimal balandlikda joylashgan chiziqlarga asoslangan, xususan, chiziqlar o'lchamlari chegarasiga yaqin bo'lganida va yuqorida aytib o'tilgan ikki nurli shovqinlar natijasida hosil bo'lgan. Ikki nurli interferentsiya hali ham difraktsiya naqshini boshqaradi.[35] Darhaqiqat, alohida kesilgan ekspozitsiya bo'lmagan taqdirda, minimal chiziq chiziqlari uchlari orasidagi bo'shliq juda katta bo'ladi.[39][40]

Chiziqlarni kesish uchun ikkinchi niqobni qo'llashda, birinchi niqobga nisbatan qoplamani hisobga olish kerak; aks holda, chekka joylashtirish xatolari (EPE) olib kelishi mumkin. Agar chiziq balandligi piksellar sonining chegarasiga yaqin bo'lsa, kesilgan naqshning o'zi kamaytirilgan dozadan yoki fokus oynasidan boshlab tasvirlashda qiyinchiliklarga duch kelishi mumkin. Bunday holda, bir nechta kesilgan niqobni ishlatish kerak edi, aks holda kesish bir nechta chiziqlar bo'ylab cho'zilishi kerak. O'z-o'zidan tekislangan kesish (quyida muhokama qilinishi kerak) afzal variant bo'lishi mumkin.

Pitch bo'linishi

Ikki marta ekspozitsiya, ikki qavatli etch (xandaklar): Birinchi naqsh ustiga fotoresist qoplamasi; oldingi xususiyatlarga qo'shni aşındırma; Fotoresistni olib tashlash

Ko'p naqshlarning dastlabki shakli shunchaki naqshni ikki yoki uch qismga bo'lishni o'z ichiga olgan bo'lib, ularning har biri an'anaviy ravishda ishlov berilishi mumkin, va oxirida butun naqsh oxirgi qatlamda birlashtiriladi. Buni ba'zan shunday deyishadi balandlikning bo'linishi, chunki bitta balandlik bilan ajratilgan ikkita xususiyatni tasvirlash mumkin emas, shuning uchun bir vaqtning o'zida faqat o'tkazib yuborilgan xususiyatlarni tasvirlash mumkin. Bundan tashqari, to'g'ridan-to'g'ri "LELE" (Litho-Etch-Litho-Etch) deb nomlanadi. Ushbu yondashuv 20 nm va 14 nm tugunlar uchun ishlatilgan. Qo'shimcha ta'sir qilishning qo'shimcha xarajatlariga yo'l qo'yilgan, chunki faqat bir nechta muhim qatlamlar ularga muhtoj bo'ladi. Keyinchalik jiddiy tashvish - bu xususiyatlardan xususiyatlarga joylashishni aniqlash xatolarining ta'siri (qoplama). Binobarin, o'z-o'zidan mos keladigan yon devorlarni tasvirlash yondashuvi (quyida tavsiflangan) ushbu yondashuvga erishdi.

Qatlamni ajratish orqali ikki marta naqsh solish. Qatlamni bo'linish bilan ikki marta naqshlash, turli xil ranglarda ko'rsatilgan ikkita turli xil maskalarga qo'shni xususiyatlarni berishni o'z ichiga oladi. Bu bugungi kunda qo'llaniladigan eng oddiy ko'p naqshli yondashuv bo'lib qolmoqda va EUV ga qaraganda kamroq xarajatlarni keltirib chiqaradi.

Xandaqlarni naqshlash uchun "qo'pol kuch" yondashuvi (kamida) ikkita alohida ta'sir qilish va bir xil qatlamga mustaqil naqshlarni chizish ketma-ketligini o'z ichiga oladi. Har bir ta'sir qilish uchun boshqa fotorezist qoplama talab qilinadi. Ketma-ketlik tugagandan so'ng, naqsh oldindan o'yilgan pastki naqshlarning birikmasi hisoblanadi. Pastki naqshlarni bir-biriga bog'lab, naqsh zichligi nazariy jihatdan cheksiz ko'paytirilishi mumkin, yarim balandlik ishlatilgan pastki naqshlar soniga teskari proportsional bo'ladi. Masalan, 25 nm yarim pog'onali naqsh 50 intervalgacha bo'lgan ikkita 50 nm yarim pog'onani, uchta 75 nm yarim pog'onali naqshni yoki to'rtta 100 nm yarim pog'onali naqshni yaratishi mumkin. Xususiyat hajmini kamaytirish, ehtimol kimyoviy qisqarish, termal qayta oqim yoki qisqaruvchi yordamchi plyonkalar kabi usullarning yordamini talab qiladi. Ushbu kompozitsion naqsh keyinchalik oxirgi qatlamga o'tkazilishi mumkin.

Bu jarayonning misolini ko'rib chiqish orqali yaxshiroq tavsiflanadi. Fotorezistning birinchi ta'siri quyida joylashgan qattiq qatlam qatlamiga o'tkaziladi. Qattiq niqobli naqsh o'tkazilgandan so'ng fotorezist olib tashlanganidan so'ng, ikkinchi darajali fotorezist namuna ustiga qoplanadi va bu qavat ikkinchi marta ta'sir qiladi, qattiq niqob qatlamida naqshlangan xususiyatlar orasidagi tasvirlash xususiyatlari. Yuzaki naqsh, niqob xususiyatlari o'rtasida joylashgan fotorezist xususiyatlardan iborat bo'lib, ular ostidagi oxirgi qatlamga o'tkazilishi mumkin. Bu xususiyat zichligini ikki baravar oshirish imkonini beradi.

Birinchi yondashuvni bekor qiladigan ushbu yondashuvning o'zgarishi muzlashga qarshi turing,[41] bu birinchi ishlab chiqilgan qarshilik qatlami ustiga ikkinchi qarshilik qoplamini qoplash imkonini beradi. Ushbu usul yordamida JSR 32 nm chiziq va bo'shliqlarni namoyish etdi,[42] bu erda muzlash birinchi qarshilik qatlamining sirtini qattiqlashishi bilan amalga oshiriladi.

So'nggi yillarda "balandlikni ajratish" atamasi asta-sekin kengaytirilib, yon devorlarni ajratuvchi vositalarni o'z ichiga olgan texnikani o'z ichiga oladi.

Yon devor rasmini uzatish

Spacer maskasi: birinchi naqsh; yotqizish; aşındırma yo'li bilan spacer shakllanishi; birinchi naqshni olib tashlash; spacer maskasi bilan ishlov berish; yakuniy naqsh

Yilda spacer namunasi, spacer - bu oldindan naqshlangan xususiyatning yon qismida hosil bo'lgan kino qatlami. Spacer avvalgi naqsh bo'yicha plyonkaning cho'kishi yoki reaktsiyasi bilan hosil bo'ladi, so'ngra gorizontal yuzalardagi barcha plyonkali materiallarni olib tashlash uchun yonbag'irda, faqat yon devorlarda material qoladi. Asl naqshli xususiyatni olib tashlab, faqat bo'sh joy qoldiriladi. Biroq, har bir chiziq uchun ikkita ajratuvchi borligi sababli, chiziq zichligi endi ikki baravarga oshdi. Odatda bu o'z-o'zidan tekislangan ikki tomonlama naqsh (SADP) deb nomlanadi. Spacer texnikasi, masalan, asl litografik maydonning yarmida tor eshiklarni aniqlashda qo'llaniladi.

Har xil ochiq qismlar orasidagi xususiyat holatidagi farqlar tufayli balandlikni bo'linishi qiyinlashib borganligi sababli, yon devor tasvirini uzatish (SIT) zarur yondashuv sifatida tanildi. SIT yondashuvi odatda o'yilgan xususiyat yon tomonida oraliq qatlam hosil bo'lishini talab qiladi. Agar bu bo'shliq o'tkazgich xususiyatiga mos keladigan bo'lsa, unda odatda kutilganidek xususiyatni ikki yoki undan ortiq o'tkazgich chizig'iga ajratish uchun uni kamida ikkita joyda kesish kerak. Boshqa tomondan, agar oraliq dielektrik xususiyatiga to'g'ri keladigan bo'lsa, kesish kerak bo'lmaydi. Ilg'or mantiqiy naqshlar uchun qancha qisqartirish kerakligini taxmin qilish katta texnik muammo bo'ldi. Ko'p yondashuvlar spacer namunasi nashr etilgan (ba'zilari quyida keltirilgan), barchasi qisqartirishni takomillashtirilgan boshqaruviga (va qisqartirilishiga) qaratilgan.

Spacer materiallari odatda qattiq niqob materiallar, ularning chizilganidan keyingi naqsh sifati, odatda chiziq qirralarining pürüzlülüğü bilan azoblanadigan, fotodan keyin fotorezist profillarga nisbatan ustunroq bo'ladi.[43]

Spacer yondashuvining asosiy muammolari, ular biriktirilgan material olib tashlanganidan keyin ajratgichlar o'z joylarida tura oladimi, oraliq profilining qabul qilinishi yoki yo'qligi va asosiy materialga ajratilgan materialni olib tashlagan etch hujumi bo'ladimi. . Naqshni uzatish vaziyatni murakkablashtirmoqda, chunki ajratgichlarga ulashgan materialni olib tashlash ham asosiy materialni ozgina olib tashlaydi. Bu bo'shliqning bir tomonida boshqasiga qaraganda yuqori relyefga olib keladi.[44] Oldindan naqshli xususiyatdagi (CD) niqoblarning har qanday mos kelmasligi yoki ekskursiya, funktsiyalar orasidagi balandlikning o'zgarishiga olib keladi, bu esa balandlikda yurish deb nomlanadi.[45]

Spacerning joylashishi, shuningdek, ajratgich biriktirilgan naqshga bog'liq. Agar naqsh juda keng yoki juda tor bo'lsa, bo'sh joy holatiga ta'sir qiladi. Biroq, bu o'z-o'zidan mos keladigan muhim xotira xususiyatlarini yaratish jarayonlari uchun tashvish tug'dirmaydi.

SADP takrorlanganda, maydonning qo'shimcha yarmiga erishiladi. Bu ko'pincha o'z-o'zidan to'rtburchak naqsh (SAQP) deb nomlanadi. 76 nm bilan bitta kutilgan minimal balandlik immersion litografiya chalinish xavfi,[46] Endi SAQP bilan 19 nm balandlikka o'tish mumkin.

O'z-o'zidan moslashtirilgan aloqa / naqsh orqali

Ikkita damaskenli naqsh yordamida o'z-o'zidan hizalanadi.

O'z-o'zidan hizalanadigan aloqa va shablon orqali bitta litografik xususiyatdan bir nechta kontaktlarni yoki viaslarni naqshlash uchun o'rnatilgan usul. U kattalashtirilgan xususiyatga ega bo'lgan qarshilik niqobi va pastki naqshinkor qatlamlar bilan o'ralgan pastki xandaklar kesishmasidan foydalanadi. Ushbu texnik DRAM hujayralarida qo'llaniladi[47] va shuningdek, balandlikni ajratuvchi kontaktlar va viylarning bir necha marotaba ta'sirlanishiga yo'l qo'ymaslik uchun rivojlangan mantiq uchun ishlatiladi.[48][49][50]

32 nm tugundan beri Intel yuqorida aytib o'tilgan o'zaro kelishuv usulini qo'llagan, bu esa ikkita viyoni etarlicha kichik balandlikda ajratish imkonini beradi (Intel 32 nm metall uchun 112,5 nm)[51] ikkita alohida ochilish o'rniga bitta qarshilik ochilishi bilan naqsh solish.[50] Agar viaslar bir marotaba ta'sir qilish balandligi o'lchamlari chegarasidan kamroq bilan ajratilgan bo'lsa, minimal minimal maskalar soni kamayadi, chunki dastlab juftlik orqali ajratilgan ikkita alohida niqob endi bir xil juftlik uchun bitta niqob bilan almashtirilishi mumkin.

Spacer-dielektrik (SID) SADP

Spacer-dielektrik (SID) SADP ketma-ket ikkita yotqizish asosida va kamida ikkita nayzaga asoslangan.
SID SADP o'lchamlari 3x ga teng bo'lib, chiziqlarni kesish uchun qo'shimcha niqobni beradi.

O'z-o'zidan hizalanadigan ikki tomonlama naqshlarda (SADP) oraliq moslamani to'g'ridan-to'g'ri metall xususiyatlar o'rniga metalllararo dielektrikni naqshlash uchun foydalanilganda kesilgan / blokli niqoblarning soni kamayishi yoki hatto zich yamoqlarda yo'q qilinishi mumkin.[52] Sababi yadro / mandrel xususiyatlaridagi kesilgan / bloklangan joylar birinchi niqobda allaqachon naqshlangan. Keyingi naqshlardan so'ng bo'shliqlar orasidagi bo'shliqlardan kelib chiqadigan ikkinchi darajali xususiyatlar mavjud. Ikkilamchi xususiyat va ajratuvchi orasidagi chekka qo'shni yadro xususiyati bilan o'z-o'zidan mos keladi.

2D SID oraliq paterni

SID-dan foydalanish 2D massivlariga qo'llanilishi mumkin, bunda avvalgi xususiyatlarga teng masofadagi funktsiyalarni takroriy qo'shish va har bir takrorlash bilan zichlikni ikki baravar oshirish mumkin.[53][54] Qattiq joylashishni talab qilmaydigan kesmalar bu bo'shliq tomonidan ishlab chiqarilgan tarmoqqa o'rnatilishi mumkin.[55]

Uchburchak oraliq (chuqurchalar tuzilishi) naqshini

Asal qoliplari naqshlari DRAM qatlamlariga naqsh solish uchun zichlikni uch baravar oshirishga imkon beradi.

Yaqinda Samsung 20 nm va undan yuqori masofaga mos keladigan ko'plab chuqurchalar tuzilishi (HCS) yordamida DRAM naqshlarini namoyish etdi.[56] Spacer naqshining har bir takrorlanishi zichlikni uch baravar oshiradi va 2D balandligini sqrt (3) marta kamaytiradi. Bu, ayniqsa, DRAM uchun foydalidir, chunki kondansatör qatlami ko'plab chuqurchalar tuzilishiga mos kelishi mumkin va bu uning naqshini soddalashtiradi.

O'z-o'zidan to'rtburchak naqsh (SAQP)

SAQP ketma-ket ikkita SADP qadamiga asoslangan SADP bilan taqqoslaganda, SAQP yana bir oraliqdan foydalanadi, bu esa o'z navbatida ishlov berishni ta'minlaydi, bu esa pitchni qisqartirishga imkon beradi, shuningdek, moslashuvchan naqsh uchun imkoniyat yaratadi.

SADP balandligi to'rtdan birini samarali bajarish uchun ketma-ket ikki marta qo'llanilishi mumkin. Bu o'z-o'zidan to'rtburchak naqsh (SAQP) deb nomlanadi. SAQP yordamida asosiy xususiyat tanqidiy o'lchovi (CD) va shu kabi xususiyatlar orasidagi masofa har biri birinchi yoki ikkinchi oraliq tomonidan belgilanadi.

Ikkinchi bo'shliqning o'tkazuvchan bo'lmagan xususiyatlarini belgilashi afzaldir[57] yanada moslashuvchan kesish yoki kesish variantlari uchun.

Yo'naltirilgan o'zini o'zi yig'ish (DSA)

DSA split viyalarni birlashtiradi. Odatda (EHM) alohida ta'sirga muhtoj bo'lgan ikkita via (qizil va ko'k) DSA yordami bilan bitta yo'riqnoma ta'sirida (qora hoshiya) ishlatilishi mumkin.

Yon devor oralig'ini naqshlash uchun ishlatiladigan niqoblar soni bosma maydon ichida birdaniga panjara bilan ta'minlanganligi sababli yo'naltirilgan o'z-o'zini montaj qilish (DSA) yordamida kamaytirilishi mumkin, so'ngra ularni so'nggi ekspozitsiya bilan tanlash mumkin.[58][55] Shu bilan bir qatorda, kesilgan naqshning o'zi DSA bosqichi sifatida yaratilishi mumkin.[59] Xuddi shu tarzda, maket orqali bo'linish juft bo'lib qayta tiklanishi mumkin.[60]

PMMA-PS blokli kopolimerlaridan sirt topografiyasi (grafoepitaksi) va / yoki sirt kimyoviy naqshlari (ximoepitaksi) asosida o'zini o'zi yig'ish orqali 20 nm naqshlarni aniqlash uchun foydalanish bo'yicha katta yutuqlar qayd etilgan.[61] Asosiy foyda, bir necha marotaba ta'sir qilish yoki ko'p marta cho'ktirish va zarb qilish bilan solishtirganda, nisbatan sodda ishlov berishdir. Ushbu texnikaning asosiy kamchiliklari - bu ma'lum bir jarayonni shakllantirish uchun funktsiyalar o'lchamlari va ish davrlarining nisbatan cheklangan doirasi. Odatiy qo'llanmalar muntazam chiziqlar va bo'shliqlar, shuningdek, bir-biriga chambarchas o'ralgan teshiklar yoki silindrlar qatori bo'lgan.[62] Shu bilan birga, tasodifiy, aperiodik naqshlar puxta aniqlangan qo'llanma naqshlari yordamida ham yaratilishi mumkin.[63]

Blok-kopolimer naqshlaridagi chiziq qirralarining pürüzlülüğü, ikki faza o'rtasidagi interfeys keskinligiga juda bog'liq, bu esa Flory "chi" (χ) parametriga bog'liq.[64] Kamroq pürüzlülük uchun p ning yuqori qiymati afzaldir; domenlar orasidagi interfeys kengligi 2a (6χ) ga teng−1/2, bu erda a - statistik polimer zanjiri uzunligi.[65] Bundan tashqari, fazani etarli darajada ajratish uchun DN> 10.5 talab qilinadi, bu erda N - polimerlanish darajasi (zanjirda monomer takrorlanish soni). Boshqa tomondan, yarim pog'ona 2 ga teng (3 / π)2)1/3a2/3χ1/6. Naqsh kengliklarining tebranishlari aslida yarim pog'onali logaritmaga faqat zaif (kvadrat ildiz) bog'liqdir, shuning uchun ular kichikroq pog'onalarga nisbatan sezilarli bo'ladi.

DSA kamchiliklarni keltirib chiqarishi sababli ishlab chiqarishda hali tatbiq etilmagan, bu erda xususiyat o'zini o'zi boshqarish tomonidan kutilganidek ko'rinmaydi.[66]

Ko'p naqshli boshqa usullar

Ko'plab naqshlar kutilgan tugundan tugunga qadar xarajatlarni kamaytirishni kamaytiradi yoki hatto o'zgartiradi degan xavotirlar ko'p bo'lgan. Mur qonuni. EUV o'tkazuvchanlikni hisobga olgan holda uchta 193i ta'siridan (ya'ni, LELELE) qimmatroq.[67] Bundan tashqari, EUV 193i yilga qadar hal etilmaydigan kichikroq niqob qusurlarini chop etishga ko'proq javobgardir.[68] Boshqa ko'rib chiqilgan ko'p naqshli texnikaning ba'zi jihatlari quyida muhokama qilinadi.

O'z-o'zidan tekislangan uchli naqsh (SATP)

SATP qoplamaga nisbatan kam sezgirlikni taklif etadi. SATP SID SADP bilan bir xil naqshga erishadi, lekin kesish / kesish niqobi uchun ortiqcha qatlam sezgirligi bilan.

O'z-o'zidan tekislangan uchli naqsh, qo'shimcha 2 o'lchovli naqsh egiluvchanligi va yuqori zichlikni ta'minlovchi ikkinchi oraliq moslamani joriy qilganligi sababli, SADP uchun istiqbolli voris sifatida qaraldi.[69][70] Ushbu yondashuv uchun jami ikkita niqob (mandrel va trim) etarli.[71] SADP-ga nisbatan qo'shilgan yagona xarajat - bu ikkinchi bo'shliqni yotqizish va maydalash. SATP-dan keyingi SADP-ning asosiy kamchiliklari shundaki, u faqat bitta tugun uchun ishlatilishi mumkin. Shu sababli, o'z-o'zidan to'rtburchak naqsh (SAQP) ko'proq ko'rib chiqiladi. Boshqa tomondan, an'anaviy SID SADP oqimi tabiiy ravishda uch martalik naqshgacha kengaytirilishi mumkin, ikkinchi niqob esa bo'shliqni ikkita xususiyatga ajratadi.[72]

Eğimli ion implantatsiyasi

Eğimli ion implantatsiyasi. Ion zarar ko'rgan hududlar yonma-yon tekislangan mintaqalar vazifasini bajaradi. Ushbu yondashuvning asosiy jihati shikastlanish kengligi va shikastlanish balandligi o'rtasidagi bog'liqlikdir; ikkalasi ham bir vaqtning o'zida sobit ion niqobi balandligi va ion nurlari burchagi uchun kengayadi.

Eğimli ion implantatsiyasi 2016 yilda Berkli universiteti tomonidan spacer patterning bilan bir xil natijaga erishishning muqobil usuli sifatida taklif qilingan.[73] Depozitlangan bo'shliqlarni qo'llab-quvvatlovchi yadro yoki mandrel naqshlari o'rniga, ion niqoblash qatlami naqshlari quyi qatlamni ion implantatsiyasidan shikastlanishdan himoya qiladi, bu esa keyingi jarayonda chiqib ketishiga olib keladi. Jarayon davomida allaqachon ishlov berilgan qatlamlarga zarar bermaslik uchun to'g'ri chuqurlikka kiradigan burchakli ion nurlaridan foydalanishni talab qiladi. Bundan tashqari, ionlarni maskalash qatlami o'zini ideal tutishi kerak, ya'ni barcha ionlarning o'tishini to'sib qo'yishi kerak, shu bilan birga yon devorni aks ettirmaydi. Oxirgi hodisa zararli bo'ladi va ionlarni maskalash usulining maqsadini buzadi. Ushbu yondashuv bilan 9 nm gacha bo'lgan xandaqlarga 15 keV Ar + ion implantatsiyasini 15 graduslik burchak ostida 10 nm issiqlik SiO ga erishildi.2 maskalanadigan qatlam. Ushbu yondashuvning asosiy jihati shikastlanish kengligi va shikastlanish balandligi o'rtasidagi bog'liqlikdir; ikkalasi ham bir vaqtning o'zida sobit ion niqobi balandligi va ion nurlari burchagi uchun kengayadi.

Qo'shimcha qutblanish ta'sirlari

Qo'shimcha ta'sir qilish usuli[74] bir nechta naqsh uchun niqob ta'sirini kamaytirishning yana bir usuli. Shaxsiy viyalar, kesmalar yoki bloklar uchun bir nechta niqob ta'sir qilish o'rniga, qarama-qarshi yoki bir-birini to'ldiruvchi kutuplulukning ikkita ta'siridan foydalaniladi, shunda bitta ekspozitsiya oldingi ekspozitsiyaning ichki qismlarini olib tashlaydi. Qarama-qarshi kutupluluğa ega bo'lgan ikki ko'pburchakning bir-birining ustiga qo'yilgan mintaqalari bosilmaydi, bir-birining ustiga chiqmagan mintaqalar qutblanishiga ko'ra bosib chiqariladigan joylarni belgilaydi. Hech qanday ta'sir qilish shakli to'g'ridan-to'g'ri maqsad xususiyatlariga ega emas. Ushbu yondashuv IMEC tomonidan ularning 7nm SRAM katakchasida M0A qatlami uchun ikkita "saqlanadigan" niqob sifatida ham amalga oshirildi.[75]

O'z-o'zidan tekislangan blokirovka qilish yoki kesish

O'z-o'zidan hizalanadigan blokirovka / kesish bilan SADP. O'z-o'zidan hizalanadigan blokirovka qilish yoki kesish, turli xil etch selektivligining ikki xil materiallaridan foydalanilganligi sababli chiziqlarni ikki rangga bo'lishga imkon beradi. Berilgan niqob ta'sirida faqat bitta rangdagi chiziqlar kesilishi mumkin.

O'z-o'zidan tekislangan blokirovka yoki kesish hozirda 30 nm pastki maydonlar uchun SAQP bilan foydalanish uchun mo'ljallangan.[76] Kesilishi kerak bo'lgan chiziqlar ikkita materialga bo'linadi, ular tanlab o'ralgan bo'lishi mumkin. Bitta kesilgan niqob faqat bitta materialdan yasalgan har bir chiziqni kesadi, boshqa kesilgan niqob esa boshqa materialdan qolgan chiziqlarni kesadi. Ushbu uslub minimal balandlikdagi chiziqlarga nisbatan ikki barobar balandlik xususiyatlarini naqshlashning afzalliklariga ega, chekka joylashtirish xatolarisiz.[34]

O'z-o'zidan tikilgan o'n ikki juftlik namunasi (SADDP)

O'z-o'zidan tikilgan o'n ikki juftlik naqshini (SADDP), asosan SATP-SAQP ketma-ketligi, 10-nm-5nm tugunlar uchun 6-va 7-yo'lli hujayralarni naqshlash uchun foydali ekanligi aniqlandi.[77]

EUV-ning bir nechta namunaviy imkoniyatlari

EUV liniyasini oxirigacha joylashtirish. EUV fotonli shovqin chiziq uchini joylashtirishga ta'sir qiladi (bitta maruziyet uchun). Shunday qilib, chiziqlarni kesishni afzal ko'rish mumkin.
EUV maketining turli xil yoritilishlar tufayli bo'linishi. Ushbu tartib vertikal va gorizontal chiziqlardan iborat bo'lib, ularning har biri uchun optimallashtirilgan ikki xil yoritishni talab qiladi, chunki gorizontal maket yanada keng chiziqlar va bo'shliqlarni o'z ichiga oladi. Shunday qilib, hatto EUV litografiyasi uchun ham tartibni ajratish kerak. Bundan tashqari, chiziq uchlari orasidagi bo'shliqlar uchun (kesilgan) qo'shimcha kesma ta'sirlari afzallik beriladi.

Garchi EUV bo'lishi taxmin qilinmoqda keyingi avlod litografiyasi tanlovi bo'yicha, avvalambor bir qator qatorlarni bosib chiqarish va keyin ularni kesib tashlash zarurligi sababli, bir nechta litografik ta'sir qilishni talab qilishi mumkin; bitta EUV ta'sir qilish sxemasi uchidan uchigacha oraliqni boshqarishda qiyinchiliklarga duch keladi.[12] Bunga qo'shimcha ravishda, chiziq uchini joylashtirish foton otishni o'rganish shovqinidan sezilarli darajada ta'sir qiladi.[78]

Mavjud 0.33 NA EUV vositalari 16 nm yarim piksellar sonidan pastroq.[79] 16 nm o'lchamlari uchun uchidan uchiga bo'shliqlar muammoli.[80] Binobarin, EUV 2D namunasi> 32 nm balandlikda cheklangan.[79] EUV niqob xususiyatlarini va yorug'lik shaklini bir vaqtning o'zida optimallashtirish bo'yicha so'nggi tadqiqotlar shuni ko'rsatdiki, bitta metall qatlamdagi turli naqshlar har xil yoritishni talab qilishi mumkin.[81][82][83][84][85] Boshqa tomondan, bitta marshrut faqat bitta yoritishni taklif qiladi.

Masalan, 7 nm tugun uchun 40-48 nm balandlik va 32 nm balandlik uchun o'zaro faoliyat pitch-manba-niqob optimallashtirishda normalizatsiya qilingan tasvirlar log qiyaligi bilan belgilangan sifat etarli emas edi (NILS <2), atigi 36 nm balandlik ikki tomonlama yagona ta'sir qilish uchun deyarli qoniqarli emas edi.[13]

Asosiy vaziyat shundan iboratki, EUV naqshlari turli xil yoritgichlar yoki turli xil naqsh turlari (masalan, pog'onali massivlar va oddiy qatorlar) uchun turli xil yoritilishlarga ko'ra bo'linishi mumkin.[81] Bu chiziqni kesish naqshlariga, shuningdek, qatlamlar orqali aloqa qilishda qo'llanilishi mumkin. Bundan tashqari, hatto EUV uchun ham bir nechta kesish kerak bo'ladi.[86]

2016 EUVL Workshop-da, ASML 0.33 NA NXE EUV vositalari 5 nm tugunda kutilgan 11-13 nm yarim pog'onada standart bitta ta'sir qilish namunasini yaratishga qodir emasligini xabar qildi.[87] 0,55 dan yuqori bo'lgan NA, maydonning 26 mm x 33 mm standart o'lchamining yarmiga teng bo'lgan maydonlarni bir marotaba EUV naqshini yaratishga imkon beradi.[87] Biroq, ba'zi mahsulotlar, masalan, NVIDIA-ning Paskal Tesla P100,[88] yarim maydon kattaligi bo'yicha ikkiga bo'linadi va shuning uchun ikkita alohida ekspozitsiyani tikishni talab qiladi.[89] Qanday bo'lmasin, ikkita yarim maydonli skanerlash bitta to'liq maydonli skanerlashdan ikki baravar ko'proq tezlashtirish / sekinlashuv sarfini iste'mol qiladi.[87][90]

Multipatterning dasturlari

Ideal bo'lmagan ko'p namunali: Bu erda tartib uch qismga bo'linadi, ularning har birini tasvirlash qiyin. Har bir qism turli xil o'lchamdagi va turli xil bo'shliqlarning xususiyatlarini, shuningdek, asl maketdagi muammolarni meros qilib olgan turli yo'nalishlarni o'z ichiga oladi.

Xotira naqshlari allaqachon NAND uchun to'rtburchak naqsh bilan naqshlangan[91] va DRAM uchun to'rtburchak / er-xotin naqshli.[92] Ushbu naqsh texnikasi o'z-o'zidan hizalanadi va maxsus kesish yoki kesish maskalarini talab qilmaydi. 2x-nm DRAM va flesh uchun ikki marta qoliplash texnikasi etarli bo'lishi kerak.

Hozirgi EUV o'tkazuvchanligi 193 nm immersion litografiyadan 3 baravar sekinroq, shuning uchun ikkinchisini bir nechta naqsh bilan kengaytirishga imkon beradi. Bundan tashqari, EUV pellicle etishmasligi ham taqiqlidir.

2016 yildan boshlab Intel 10 nm tugun uchun SADP dan foydalangan;[93] ammo, 2017 yilga kelib, 36 nm minimal metall pog'onaga endi SAQP erishmoqda.[94] Intel o'zining 14 nmli tugunida ba'zi bir muhim qatlamlar uchun uchta naqshni ishlatmoqda,[95] bu LELELE yondashuvi.[96] Triple patterning is already demonstrated in 10 nm tapeout,[97] and is already an integral part of Samsung's 10 nm process.[98] TSMC is deploying 7 nm in 2017 with multiple patterning;[99] specifically, pitch-splitting,[100] down to 40 nm pitch.[101] Beyond the 5 nm node, multiple patterning, even with EUV assistance, would be economically challenging, since the departure from EUV single exposure would drive up the cost even higher. However, at least down to 12 nm half-pitch, LELE followed by SADP (SID) appears to be a promising approach, using only two masks, and also using the most mature double patterning techniques, LELE and SADP.[102]

Patterning costs

Color-optimized multi-patterning. Ideally, the three differently colored sets of features are spread as evenly as possible, and follow a consistent pitch.
Patterning MethodNormalized Wafer Cost
193i SE1
193i LELE2.5
193i LELELE3.5
193i SADP2
193i SAQP3
EUV SE4
EUV SADP6

Ref.: A. Raley et al., Proc. SPIE 9782, 97820F (2016).

Compared to 193i SADP, EUV SADP cost is dominated by the EUV tool exposure, while the 193i SAQP cost difference is from the added depositions and etches. The processing cost and yield loss at a lithographic tool is expected to be highest in the whole integrated process flow due to the need to move the wafer to specific locations at high speed. EUV further suffers from the shot noise limit, which forces the dose to increase going for successive nodes.[103] On the other hand, depositions and etches process entire wafers at once, without the need for wafer stage motion in the process chamber. In fact, multiple layers may be added under the resist layer for anti-reflection or etch hard-mask purposes, just for conventional single exposure.

Published silicon demonstrations

PitchPatterning Scheme# MasksDemonstrated byMalumot
64 nmLELE2IBM/Toshiba/Renesas2011 IITC
56 nmLELE2STMicroelectronics/IBM/ToshibaMicroel. Ing. 107, 138 (2013)
48 nmSADP + block mask2IBM/Samsung/GlobalFoundries2013 IITC
40 nmSADP + pitch-divided cut grid + cut selection pattern3Tela/Canon/TEL/SequoiaProc. SPIE 8683, 868305 (2013)
40 nmSADP + self-aligned block (LELE)3TELProc. SPIE 10149, 101490O (2017)

Leading-edge logic/ASIC multi-patterning practices

Four masks for dividing minimum pitch by 3. To pattern at one-third the minimum line pitch, 4 masks (each represented here by a different color) may be used. This method is also known as "LELELELE" (4x the litho-etch (LE) iteration). Alternatively, SADP may be applied with fewer masks.
KompaniyaLogic ProcessMinimum Metal Pitch (MMP)MMP Patterning TechniqueProduction Start
Intel14nm52 nm[104]SADP + cut[104]2014[104]
Intel10nm36 nm[105]SAQP + SAQP + LELE[105][106]2019
TSMC7FF40 nm[101]SADP + cut[107]2017 yil boshi[108]
Samsung8LPP; continued to 7LPP[109][110]44 nm[111]LELELELE[111]end of 2018

Even with the introduction of EUV technology in some cases, multiple patterning has continued to be implemented in the majority of layers being produced. For example, quadruple patterning continues to be used for 7nm by Samsung.[109] TSMC's 7nm+ process also makes use of EUV in a multi-patterning context.[112] Only a few layers are affected anyway;[113] many remain conventional multi-patterning.

Mask costs

The mask cost strongly benefits from the use of multiple patterning. The EUV single exposure mask has smaller features which take much longer to write than the immersion mask. Even though mask features are 4x larger than wafer features, the number of shots is exponentially increased for much smaller features. Furthermore, the sub-100 nm features on the mask are also much harder to pattern, with absorber heights ≈70 nm.[114]

Wafer productivity

AsbobEUVEUVSuvga cho'mishSuvga cho'mish
WPH (wafers per hour)8585275275
# tools6624[115]24
ish vaqti70%70%90%90%
# passes1224
WPM (wafers per month)257,040128,5202,138,4001,069,200
normalized WPM10.584

Note: WPM = WPH * # tools * uptime / # passes * 24 hrs/day * 30 days/month. Normalized WPM = WPM/(WPM for EUV 1 pass)

Multiple patterning with immersion scanners can be expected to have higher wafer productivity than EUV, even with as many as 4 passes per layer, due to faster wafer exposure throughput (WPH), a larger number of tools being available, and higher uptime.

Multiple patterning specific issues

Overlay error impact on line cut. An overlay error on a cut hole exposure could distort the line ends, leading to arcing (top), or infringe on an adjacent line (bottom).
Diagonal cuts forbidden. Diagonal line cuts are forbidden, due to their "kissing corner" distorted appearance. Their use is discouraged even with EUV single patterning.
NashrLELELELELESID SADPSAQP
Overlaybetween 1st and 2nd exposures, especially where stitchingamong all three exposures, especially where stitchingbetween core and cut exposuresbetween core and cut exposures
Exposed feature width(1) 1st exposure (2) 2nd exposure(1) 1st exposure (2) 2nd exposure (3) 3rd exposurecore feature(1) core feature (2) cut shape
Feature slimming target width1/4 exposure pitch1/6 exposure pitch1/4 core pitch1/8 core pitch
Spacer widthYo'qYo'q1 spacer(1) 1st spacer (2) 2nd spacer

Multiple patterning entails the use of many processing steps to form a patterned layer, where conventionally only one lithographic exposure, one deposition sequence and one etch sequence would be sufficient. Consequently, there are more sources of variations and possible yield loss in multiple patterning. Where more than one exposure is involved, e.g., LELE or cut exposures for SAQP, the alignment between the exposures must be sufficiently tight. Current overlay capabilities are ≈0.6 nm for exposures of equal density (e.g., LELE) and ≈2.0 nm for dense lines vs. cuts/vias (e.g., SADP or SAQP) on dedicated or matched tools.[116] In addition, each exposure must still meet specified width targets. Where spacers are involved, the width of the spacer is dependent on the initial deposition as well as the subsequent etching duration. Where more than one spacer is involved, each spacer may introduce its own width variation. Cut location overlay error can also distort line ends (leading to arcing) or infringe on an adjacent line.[16][17][18]

Mixed patterning methods

LELE + SADP + cut. LELE + SADP + cut has been proposed for 7nm fins.[117] Two cuts are shown here. Gaps between spacers after etchback may also define additional features.[52]
LELE + cut patterning. Cut masks give added flexibility on top of LELE patterning.

Multiple patterning is evolving toward a combination of multiple exposures, spacer patterning, and/or EUV. Especially with tip-to-tip scaling being difficult in a single exposure on current EUV tools,[12] a line-cutting approach may be necessary.IMEC reported that double patterning is becoming a requirement for EUV.[118]

  • Mixed patterning with overlay sensitivity:
Xususiyat1-maruziyet2nd Exposure3rd Exposure4th Exposure
Chiziq1st Feature Subset2nd Feature Subset
(then spacer)
Cut MaskCut Mask
Teshik1st Feature Subset2nd Feature SubsetCut MaskCut Mask
  • Mixed patterning with reduced overlay sensitivity:
Tarmoq ta'rifi1st Cut Exposure2nd Cut Exposure
SADP/SAQP1st Self-Aligned Block Mask2nd Self-Aligned Block Mask
SADP/SAQPCut grid[119]Cut selection pattern[119]
EUV exposure1st Self-Aligned Block Mask2nd Self-Aligned Block Mask
SAQP1st overlaid spacer grid[120][121]2nd overlaid spacer grid [120][121][74][122]
Grid cut location selection.By first defining cut locations on a grid, the effect of overlay error on cut shape is eliminated. Top: Grid defining cut locations. The points of intersection of the red lines with the underlying metal lines (blue) define the allowed locations. Pastki: Selection of cut locations.
SAQP with selective SADP blocking. SAQP combined with SADP for selective blocking is the expected approach for 10 nm half-pitch patterning without EUV.

For line patterning, SADP/SAQP could have the advantage over the EUV exposure, due to cost and maturity of the former approach and stochastic missing or bridging feature issues of the latter.[123] For grid location patterning, a single DUV exposure following grid formation also has the cost and maturity advantages (e.g., immersion lithography may not even be necessary for the spacer patterning in some cases) and no stochastic concerns associated with EUV. Grid location selection has an advantage over direct point cutting because the latter is sensitive to overlay and stochastic edge placement errors, which may distort the line ends.[16][17]

Sanoat tomonidan qabul qilish

The evolution of multiple patterning is being considered in parallel with the emergence of EUV lithography. While EUV lithography satisfies 10-20 nm resolution by basic optical considerations, the occurrence of stochastic defects[124] as well as other infrastructure gaps and throughput considerations prevent its adoption currently. Binobarin, 7nm tapeouts have largely proceeded without EUV.[125] In other words, the multiple patterning is not prohibitive, but more like a nuisance and growing expense. 5nm may be expected in 2020, with the evolution of multiple patterning and status of EUV considered at that time.

7nm and 5nm FinFETs

Self-aligned quadruple patterning (SAQP) is already the established process to be used for patterning fins for 7 nm va 5 nm FinFETs.[126] With SAQP, each patterning step gives a critical dimension uniformity (CDU) value in the sub-nanometer range (3 sigma). Among the four logic/foundry manufacturers, only Intel is applying SAQP to the metal layers, as of 2017.[127]

DRAM

Like NAND Flash, DRAM has also made regular use of multiple patterning. Even though the active areas form a two-dimensional array, one cut mask is sufficient for 20 nm.[128] Furthermore, the cut mask may be simultaneously used for patterning the periphery, and thus would not count as an extra mask.[129] When the active area long pitch is ~3.5 x the short pitch, the breaks in the active area form a hexagonal array, which is amenable to the triangular lattice spacer patterning mentioned above. Samsung has already started manufacturing the 18 nm DRAM.[130]

NAND chirog'i

Planar NAND flash had several layers which use SADP below 80 nm pitch and SAQP below 40 nm pitch.

3D NAND flash used SADP for some layers. While it does not scale so aggressively laterally, the use of string stacking in 3D NAND would imply the use of multiple patterning (litho-etch style) to pattern the vertical channels.

Typically, for NAND, SADP patterns a set of lines from a core mask, followed by using a trim mask to remove the loop ends, and connecting pads with a third mask.[131]

EUV Multipatterning

DUV vs. EUV multipatterning. Below 40 nm pitch, EUV is expected to require multipatterning due to the necessity of maintaining sufficiently small distance between line ends, without destroying portions of lines in between. The number of masks required can match that of DUV, such as for this target pattern.

EUV multiple patterning is not ruled out, especially for 5nm node. This is due to the tightening tip-to-tip (T2T) spec, representing the minimum distance between metal line ends.[132] In addition, the distance between cuts must not be too small as to expose portions of lines in between.

Adabiyotlar

  1. ^ Intel 45nm HKMG
  2. ^ TSMC 28nm cutpoly
  3. ^ Chao, Veylun; Kim, Jihoon; Anderson, Erik X.; Fischer, Peter; Rekawa, Senajith; Attwood, David T. (2009-01-09). "Double patterning HSQ processes of zone plates for 10 nm diffraction limited performance". Iqtibos jurnali talab qiladi | jurnal = (Yordam bering)
  4. ^ Duan, Xuigao; Winston, Donald; Yang, Joel K. W.; Cord, Bryan M.; Manfrinato, Vitor R.; Berggren, Karl K. (November 2010). "Sub-10-nm half-pitch electron-beam lithography by using poly(methyl methacrylate) as a negative resist" (PDF). Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena. 28 (6): C6C58–C6C62. doi:10.1116/1.3501353. hdl:1721.1/73447. Arxivlandi asl nusxasi (PDF) 2012-01-19.
  5. ^ D.C. Flanders; N.N. Efremow (1983). "Generation of <50 nm period gratings using edge defined techniques". J. Vac. Ilmiy ish. Texnol. B: 1105-1108. Iqtibos jurnali talab qiladi | jurnal = (Yordam bering)CS1 maint: mualliflar parametridan foydalanadi (havola)
  6. ^ Chris Bencher; Yongmei Chen; Huixiong Dai; Warren Montgomery; Lior Huli (2008). "22nm half-pitch patterning by CVD spacer self alignment double patterning (SADP)". 6924. Optical Microlithography XXI; 69244E. Iqtibos jurnali talab qiladi | jurnal = (Yordam bering)CS1 maint: mualliflar parametridan foydalanadi (havola)
  7. ^ A. Vanleenhove; D. Van Steenwinckel (2007). "A litho-only approach to double patterning". 6520. Optical Microlithography XX; 65202F. Iqtibos jurnali talab qiladi | jurnal = (Yordam bering)CS1 maint: mualliflar parametridan foydalanadi (havola)
  8. ^ "IEEE Andrew S. Grove Award Recipients". IEEE Andrew S. Grove mukofoti. Elektr va elektronika muhandislari instituti. Olingan 4 iyul 2019.
  9. ^ "Mikron oltinchi to'g'ri yil uchun eng yaxshi 100 global innovatorlar qatoriga kirdi". Mikron texnologiyasi. 2018-02-15. Olingan 5 iyul 2019.
  10. ^ D. De Simone, A. Singh, G. Vandenberghe, Proc. SPIE 10957, 109570Q (2019).
  11. ^ M. Vala and J. Homola, Optics Express Vol. 22, 18778 (2014).
  12. ^ a b v van Setten, Eelco; Wittebrood, Friso; va boshq. (2015 yil 4 sentyabr). Behringer, Uwe F.W; Finders, Jo (eds.). "Patterning options for N7 logic: prospects and challenges for EUV". Proc. SPIE 9661, 31st European Mask and Lithography Conference, 96610G. 31st European Mask and Lithography Conference. 9661: 96610G. Bibcode:2015SPIE.9661E..0GV. doi:10.1117/12.2196426.
  13. ^ a b R-H. Kim va boshq., Proc. SPIE vol. 9776, 97761R (2016).
  14. ^ R. L. Jones and J. D. Byers, Proc. SPIE 5040, 1035 (2003).
  15. ^ S. Kobayashi et al., Proc. SPIE 6521, 65210B (2007).
  16. ^ a b v d R. Kotb et al., Proc. SPIE 10583, 1058321 (2018).
  17. ^ a b v Y. Borodovsky, "EUV Lithography at Insertion and Beyond," 2012 International Workshop on EUV Lithography.
  18. ^ a b L. T.-N. Wang et al., Proc. SPIE 9781, 97810B (2016).
  19. ^ C. A. Mack, Proc. SPIE 4226, 83 (2000).
  20. ^ TSMC N7 cut metal
  21. ^ C. T. Bodendorf, Proc. SPIE 5992, 599224 (2005).
  22. ^ M. Burkhardt et al., Proc. SPIE 6520, 65200K (2007).
  23. ^ E. Hendrickx et al., Proc. SPIE 6924, 69240L (2008).
  24. ^ W. N. Partlo et al., Prof. SPIE 1927, 137 (1993).
  25. ^ T. Winkler et al., Proc. SPIE 5754, 1169 (2005).
  26. ^ Y. Chen et al., J. Vac. Ilmiy ish. & Tech. B 35, 06G601 (2017).
  27. ^ K. Tsujita et al., Proc. SPIE 6520, 652036 (2007).
  28. ^ T. Winkler et al., Proc. SPIE 5754, 1169 (2005).
  29. ^ a b K. Tian et al., Proc. SPIE 7274, 72740C (2009).
  30. ^ F. M. Schellenberg et al., DAC 2001,Adoption of OPC and the Impact on Design and Layout.
  31. ^ V. Wiaux et al., Proc. SPIE 5040, 270 (2003).
  32. ^ B. Bilski et al., Proc. SPIE 10466, 1046605 (2017).
  33. ^ Samsung 80nm DRAM
  34. ^ a b Feature-selective etching in SAQP for sub-20nm patterning
  35. ^ a b N. Singh and M. Mukherjee-Roy, Proc. SPIE vol. 4691, 1054 (2002).
  36. ^ J. Garofalo et al., J. Vac. Ilmiy ish. & Tech. B 11, 2651 (1993).
  37. ^ T. Matsuda et al., Proc. SPIE 7973, 797316 (2011).
  38. ^ Intel Technology Journal 45nm issue
  39. ^ How Line Cuts Became Necessarily Separate Steps in Lithography
  40. ^ M. Eurlings et al., Proc. SPIE 4404, 266 (2001).
  41. ^ "Scaling-driven nanoelectronics - Resists". Arxivlandi asl nusxasi on 2010-03-23.
  42. ^ LaPedus, Mark (March 13, 2008). "JSR demos 'freezing material' for 22-nm production". Arxivlandi asl nusxasi 2014-07-15.
  43. ^ X. Hua et al., J. Vac. Ilmiy ish. Texnik. B, jild 24, pp. 1850-1858 (2006).
  44. ^ Y-K Choi va boshq., J. Fiz. Kimyoviy. B, jild 107, 3340-3343 betlar (2003).
  45. ^ Chao, Robin; Kohli, Kriti K.; Zhang, Yunlin; Madan, Anita; Muthinti, Gangadhara Raja; Hong, Augustin J.; Konklin, Devid; Holt, Judson; Bailey, Todd C. (2014-01-01). "Multitechnique metrology methods for evaluating pitch walking in 14 nm and beyond FinFETs". Journal of Micro/Nanolithography, MEMS, and MOEMS. 13 (4): 041411. Bibcode:2014JMM&M..13d1411C. doi:10.1117/1.JMM.13.4.041411. ISSN  1932-5150.
  46. ^ I. Bouchoms va boshq., Proc. SPIE 7274, 72741K (2009).
  47. ^ US Patent 6165880, assigned to TSMC.
  48. ^ Y. Loquet va boshq., Microelec. Ing. 107, 138 (2013).
  49. ^ US Patent 8813012, assigned to Synopsys.
  50. ^ a b R. Brain va boshq., IITC 2009.
  51. ^ P. Packan va boshq., IEDM 2009.
  52. ^ a b Ref.: US Patent 8312394, assigned to Synopsys.
  53. ^ K. Oyama et al., Proc. SPIE 9051, 90510V (2014).
  54. ^ B. Mebarki va boshq., U. S. Patent 8,084,310, assigned to Applied Materials.
  55. ^ a b M. C. Smayling va boshq., Proc. SPIE 8683, 868305 (2013).
  56. ^ J. M. Park va boshq., IEDM 2015, 676 (2015).
  57. ^ Feature assignments for the spacers in SAQP
  58. ^ Synopsis Presentation at Semicon West 2013 Arxivlandi 2015-09-24 da Orqaga qaytish mashinasi
  59. ^ Z. Xiao va boshq., Proc. SPIE 8880, 888017-3 (2013).
  60. ^ J. Bekaert et al., Proc. SPIE 9658, 965804 (2015).
  61. ^ S. H. Park va boshq., Soft Matter, 6, 120-125 (2010).
  62. ^ C. G. Hardy and C. Tang, J. Polymer Sci. Pt. B: Polymer Phys., vol. 51, pp. 2-15 (2013).
  63. ^ L-W. Chang va boshq.IEDM 2010 Technical Digest, 752-755 (2010).
  64. ^ NIST 2011 report on LER in PS-b-PMMA DSA
  65. ^ A. N. Semenov, Macromolecules 26, 6617 (1993).
  66. ^ A. Gharbi et al., Proc. SPIE 9777, 97770T (2016).
  67. ^ D. Civay va boshq., J. Micro/Nanolith. MEMS MOEMS 14, 023501 (2015).
  68. ^ K. Seki va boshq., Proc. SPIE 9658, 96580G (2015).
  69. ^ US Patent 7842601, assigned to Samsung.
  70. ^ Y. Chen va boshq., Proc. SPIE 7973, 79731P (2011).
  71. ^ M. Mirsaeedi va boshq., IEEE Trans. VLSI Syst. 22, 1170 (2014).
  72. ^ US Patent 7846849, assigned to Applied Materials.
  73. ^ S. W. Kim et al., JVST B 34, 040608 (2016).
  74. ^ a b F. T. Chen va boshq., Proc. SPIE 8326, 83262L (2012).
  75. ^ S. Sakhare et al., Proc. SPIE 9427, 94270O (2015).
  76. ^ N. Mohanty et al., Proc. SPIE 10147, 1014704 (2017).
  77. ^ Fully Self-Aligned 6-Track and 7-Track Cell Process Integration
  78. ^ Photon Shot Noise Impact on Line End Placement
  79. ^ a b T-B. Chiou va boshq., Proc. SPIE 9781, 978107 (2016).
  80. ^ T. H-Bao va boshq., Proc. SPIE 9781, 978102 (2016).
  81. ^ a b Y. Chen et al., J. Vac. Ilmiy ish. Texnik. B35, 06G601 (2017).
  82. ^ M. Crouse va boshq., Proc. SPIE 10148, 101480H (2017).
  83. ^ W. Gillijns va boshq., Proc. SPIE 10143, 1014314 (2017).
  84. ^ T. Last va boshq., Proc. SPIE 10143, 1014311 (2017).
  85. ^ S. Hsu va boshq., Proc. SPIE 9422, 94221I (2015).
  86. ^ Merritt, Rick (October 7, 2015). "5nm Test Lights Litho Path Hybrid 193i, EUV seen as best approach". EETimes.
  87. ^ a b v ASML High-NA EUV
  88. ^ NVIDIA Pascal Tesla P100 Unveiled - 15.3 Billion Transistors on a 610mm2 16nm Die
  89. ^ Microlithography: Science and Technology, 2nd ed., B. W. Smith and K. Suzuki (eds.), CRC Press, 2007, p. 94.
  90. ^ Handbook of Semiconductor Manufacturing Technology, Y. Nishi and R. Doering (eds.), CRC Press, 2000, p. 475.
  91. ^ Hynix M1X NAND
  92. ^ U.S. Patent 9318369, assigned to Samsung.
  93. ^ Intel discusses 10nm
  94. ^ Intel unveils 10nm
  95. ^ Intel goes to 7nm without EUV
  96. ^ [1]
  97. ^ Triple patterning is becoming common at 10nm
  98. ^ Samsung announces 10nm FinFET process for SoC
  99. ^ [2]
  100. ^ S. Y. Wu et al., IEDM 2016, paper 2.7.
  101. ^ a b IEDM 2016 Setting Stage for 7/5 nm
  102. ^ F. T. Chen va boshq., Proc. SPIE 8683, 868311 (2013).
  103. ^ F. T. Chen va boshq., Proc. SPIE vol. 8326, 82362L (2012).
  104. ^ a b v [3]
  105. ^ a b [4] A. Yeoh et al., IITC 2018.
  106. ^ U.S. Patent Application 20150179513
  107. ^ [https://www.design-reuse.com/articles/45832/design-rule-check-drc-violations-asic-designs-7nm-finfet.html 7nm FinFET Design Rule Check]
  108. ^ TSMC on 2017
  109. ^ a b J. Kim et al., Proc. SPIE 10962, 1096204 (2019).
  110. ^ Calibre support for 8LPP and 7LPP
  111. ^ a b [5] H. Rhee et al., 2018 Symp. VLSI Tech., 217.
  112. ^ Mentor extends 7nm FinFET Plus support
  113. ^ TSMC 2019 Q2 earnings call
  114. ^ R. R. Haque va boshq., Proc. SPIE 9776, 97760F (2016).
  115. ^ Samsung receives 24 immersion tools from ASML in 2010
  116. ^ Extending immersion lithography to 1xnm nodes
  117. ^ A. Malik et al., Proc. SPIE 9048, 90481R (2014).
  118. ^ EUV Errors Under Attack
  119. ^ a b M. C. Smayling et al., Proc. SPIE 8683, 868305 (2013).
  120. ^ a b US Patent 9240329
  121. ^ a b US Patent application 20170221902
  122. ^ US Patent 8697538
  123. ^ P. Bisschop and E. Hendrickx, Proc. SPIE 10583, 105831K (2018).
  124. ^ P. De Bisschop and E. Hendrickx, Proc. SPIE 10583, 105831K (2018).
  125. ^ TSMC tapeouts in 2018
  126. ^ SAQP for FinFETs
  127. ^ Intel 14 nm Leadership
  128. ^ Y-S. Kang et al., J. Micro/Nanolith. MEMS MOEMS vol. 15(2), 021403 (2016).
  129. ^ U.S. Patent 7253118.
  130. ^ Samsung 18 nm DRAM
  131. ^ H. Dai et al., Proc. SPIE 7275, 72751E (2009).
  132. ^ J. Van Schoot et al., Proc. SPIE 11147, 1114710 (2019).